Researcher

Xi Wang

Title:  Academician
Subject:  Materials Science
Phone: +86-021-62511070
Fax: +86-021-62524192
Email:  xwang@mail.sim.ac.cn
Address: 865 Changning Road, Shanghai,China, 200050

Honors and awarded RESEARCH funds

Xi Wang has published over 400 papers in international academic journals and conferences and applied for more than 160 patents for inventions (27 international patents). As a member of the international consulting committee for International Conference on Ion Beam Modifications of Materials, he is the leader of the innovation research group of National Natural Science Foundation of China as well as the director-general of Integrated Circuit Material Industry Technological Innovation Strategic Alliance and Sensors and IoT Industry Association. He has won the first prize of National Science and Technology Progress Award, the Science and Technology Awards of the Ho Leung Ho Lee Foundation, the Outstanding Science and Technology Achievement Award of the Chinese Academy of Sciences, the first prize of Shanghai Science and Technology Progress Award, and the honorary titles of Shanghai Leading Talent and CAS Outstanding Youth.

 

RESEARCH EXPERIENCE

Xi Wang is dedicated to the research of energetic ion beam and physical phenomena of solid interaction, and their application in high-end integrated circuit substrate material Silicon-on-Insulator (SOI). He established China's only SOI R&D and manufacture base-Shanghai Simgui Technology Co., Ltd. to meet the national demand in space electronic devices. He and his team overcame the ultra-low dose oxygen ion implantation and ultra-high temperature precision control annealing technology gap, developed the low-cost high-quality Super Low Dose (SLD) SOI technology, and created the unique Simbond SOI with core independent property right in the world, which industrialized the 8-inch SOI materials and was exported to the developed countries. In addition, the SOI products also contributed to the independence of core components in special area. As a member of Shanghai Advisory Committee for Policy Decision, deputy chief engineer of National Science and Technology Major Project 02 special project, he has promoted a number of major semiconductor projects in Shanghai, among which the 12-inch integrated circuit silicon wafer is expected to fill the national gap in this field. Shanghai Industrial μTechnology Research Institute (SITRI) has become the beams and pillars of Technological Innovation Center in Shanghai. IMEC China Institute will provide a solid platform for attracting the world class R&D center, and MRAM project will lay the foundation for competing for the global new generation memory chip.

 

SELECTED PUBLICATIONS

1. Defect formation in MeV H+ implanted GaN and 4H-SiC investigated by cross-sectional Raman spectroscopy

2. Germanium-Assisted Direct Growth of Graphene on Arbitrary Dielectric Substrates for Heating Devices

3. Radio-Frequency Characteristics of Partial Dielectric Removal HR-SOI and TR-SOI Substrates

4. Experimental Investigation of C-V Characteristics of Si Tunnel FETs

5. Wrinkled Single-Crystalline Germanium Nanomembranes for Stretchable Photodetectors

6. Exceptional transport property in a rolled-up germanium tube

7. Fabrication of radiation hardened SOI with embedded Si nanocrystal by ion-cut technique

8. Multiband Hot Photoluminescence from Nanocavity-Embedded Silicon Nanowire Arrays with Tunable Wavelength

9. Routing light with ultrathin nanostructures beyond the diffraction limit

10. Experimental I-V(T) and C-V Analysis of Si Planar p-TFETs on Ultrathin Body

11. Ultra-low temperature radio-frequency performance of partially depleted silicon-on-insulator n-type metal-oxide-semiconductor field-effect transistors with tunnel diode body contact structures

12.  Robust ultra-low-friction state of graphene via moire superlattice confinement

13.  Flexible and ion-conducting membrane electrolytes for solid-state lithium batteries: Dispersion of garnet nanoparticles in insulating polyethylene oxide

14.  Ion-sensitive field-effect transistor with sSi/Si0.5Ge0.5/sSOI quantum-well for high voltage sensitivity

15.  Design of an ultra-broadband and fabrication-tolerant silicon polarization rotator splitter with SiO2 top cladding

16.  Gate length dependence of bias temperature instability behavior in short channel SOI MOSFETs

17.  SRAM cell with asymmetric pass-gate nMOSFETs for embedded memory application

18.  Tunable, broadband and high-efficiency Si/Ge hot luminescence with plasmonic nanocavity array

19.  Floating body gate cell with fast write speed for embedded memory applications

20.  How Graphene Islands Are Unidirectionally Aligned on the Ge(110) Surface

21.  Black Phosphorus Based Field Effect Transistors with Simultaneously Achieved Near Ideal Subthreshold Swing and High Hole Mobility at Room Temperature

22.  High quality extremely thin SOI fabricated by facilitated ion-cut with H-trapping effect

23.  High-Efficiency Vertical Light Emission through a Compact Silicon Nanoantenna Array

24.  Engineering interface-type resistive switching in BiFeO3 thin film switches by Ti implantation of bottom electrodes

25.  Effect of Sn implantation on thermal stability improvement of NiSiGe

26.  Ge-on-insulator wafer with ultralow defect density fabricated by direct condensation of SiGe-on-insulator structure

27.  CVD Growth of Graphene on NiTi Alloy for Enhanced Biological Activity

28.  Deterministic Assembly of Flexible Si/Ge Nanoribbons via Edge-Cutting Transfer and Printing for van der Waals Heterojunctions

29.  Gate length dependence of hot carrier injection degradation in short channel silicon on insulator planar MOSFET

30.  Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

31.  Effects of back gate bias on radio-frequency performance in partially depleted silicon-on-inslator nMOSFETs

32.  High performance strained Si0.5Ge0.5 quantum-well p-MOSFETs fabricated using a high-kappa/metal-gate last process

33.  Synthesis of Layer-Tunable Graphene: A Combined Kinetic Implantation and Thermal Ejection Approach

34.  A silicon-on-insulator polarization diversity scheme in the mid-infrared

35.  Pattern transition from nanohoneycomb to nanograss on germanium by gallium ion bombardment

36.  Broadband and fabrication-tolerant on-chip scalable mode-division multiplexing based on mode-evolution counter-tapered couplers

37.  Manipulation of strain state in silicon nanoribbons by top-down approach

38.  Impact of an ultra-thin Ti interlayer on the formation of NiSiGe/SiGe

39.  Fluorinated Graphene in Interface Engineering of Ge-Based Nanoelectronics

40.  Uniaxial and tensile strained germanium nanomembranes in rolled-up geometry by polarized Raman scattering spectroscopy

41.  Experimental Demonstration of In-Plane Negative-Angle Refraction with an Array of Silicon Nanoposts

42.  All-Angle Quasi-Self-Collimation Effect in a Rod-Type Silicon Photonic Crystal

43.  A thermal-optimal design of SOI-integrated microdisk lasers

44.  Faceted nanostructure arrays with extreme regularity by self-assembly of vacancies

45.  Graphene film-functionalized germanium as a chemically stable, electrically conductive, and biologically active substrate

46.  Reconfigurable radio-frequency arbitrary waveforms synthesized in a silicon photonic chip

47.  Total Dose Effects in Tunnel-Diode Body-Contact SOI nMOSFETs

48.  The Impact of Shallow-Trench-Isolation Mechanical Stress on the Hysteresis Effect of Partially Depleted Silicon-on-Insulator n-Type Metal-Oxide-Semiconductor Field Effects

49.  Ultrabroadband Silicon-on-Insulator Polarization Beam Splitter Based on Cascaded Mode-Sorting Asymmetric Y-Junctions

50.  Proposal for fabrication-tolerant SOI polarization splitter-rotator based on cascaded MMI couplers and an assisted bi-level taper

51.  Strain redistribution in free-standing bridge structure released from strained silicon-on-insulator

52.  Experimental Study on NBTI Degradation Behaviors in Si pMOSFETs Under Compressive and Tensile Strains

53.  Fabrication, Characterization and Loss Analysis of Silicon Nanowaveguides

54.  Novel ultra-broadband polarization splitter-rotator based on mode-evolution tapers and a mode-sorting asymmetric Y-junction

55.  InGaAs PIN photodetectors integrated and vertically coupled with silicon-on-insulator waveguides

56.  Fabrication of ultra-thin strained silicon on insulator by He implantation and ion cut techniques and characterization

57.  Study of Ge loss during Ge condensation process

58.  Low-loss and low-crosstalk 8 x 8 silicon nanowire AWG routers fabricated with CMOS technology

59.  Experimental Investigation on Alloy Scattering in sSi/Si0.5Ge 0.5/sSOI Quantum-Well p-MOSFET

60.  Antibacterial activity of large-area monolayer graphene film manipulated by charge transfer

61.  Antibacterial activity of large-area monolayer graphene film manipulated by charge transfer

62.  Improvement of RF Performance by Using Tunnel Diode Body Contact Structure in PD SOI nMOSFETs

63.  Impact of Si cap, strain and temperature on the hole mobility of (s)Si/sSiGe/(s)SOI quantum-well p-MOSFETs

64.  Three dimensional strain distribution of wrinkled silicon nanomembranes fabricated by rolling-transfer technique

65.  Optimization and Demonstration of a Large-bandwidth Carrier-depletion Silicon Optical Modulator

66.  Optical total reflection and transmission with mode control in a dielectric subwavelength nanorod chain

67.  Gate-to-body tunneling current model for silicon-on-insulator MOSFETs

68.  Sharp crack formation in low fluence hydrogen implanted Si0.75Ge0.25/B doped Si0.70Ge 0.30/Si heterostructure

69.  Influence of He implantation dose on strain relaxation of psudomorphic SiGe/Si heterostructure

70.  Direct Growth of Graphene Film on Germanium Substrate Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

71.  Broadband compact reflector based on all-dielectric subwavelength nanoparticle chains: reflecting lights beyond normal incidence with a very high reflectivity

72.  Asymmetric Underlap in Scaled Floating Body Cell Memories

73.  Design of compact hi-directional triplexer based on silicon nanowire waveguides